Synopsys, Inc. announced the new tform to accelerate software development and debug of ARC EM processor-based system-on-chips (SoC) for a wide range of ultra-low power embedded applications such as IoT, sensor fusion, and voice applications. The ARC EM Software Development Platform includes an FPGA-based hardware board with commonly used peripherals and downloadable platform packages. The Development Platform […]
synopsysinc
Static analysis technology updated with security for enterprise applications
Synopsys, Inc. announced the availability of a new version of its Coverity static application security testing (SAST) solution, which enables organizations to build secure applications faster. The latest release of Coverity addresses three increasingly important needs for enterprise application security teams: scalability, broad language and framework support, and comprehensive vulnerability analysis. Coverity enables enterprise organizations to scale […]
RTL to GDSII compiler delivers 20% better QoR, 2X faster time-to-results
Synopsys has unveiled Fusion Compiler, an innovative RTL-to-GDSII product that enables a new era in digital design implementation. By fusing a novel high-capacity synthesis technology with the IC Compiler II industry-leading place-and-route technology, Fusion Compiler offers new levels of predictable quality-of-results (QoR) to address the challenges presented by the industry’s most advanced designs. This unified […]
IC design software includes DFT circuit modifications to reduce silicon test costs
Synopsys announced the availability of Test Fusion technology with new test point functionality, providing design teams with powerful design-for-test (DFT) circuit modifications to reduce silicon test costs by an average of forty percent and increase defect detection while meeting design targets for power, performance, and area. Test Fusion ensures the test points avoid introducing routing […]
IC design software gets better Spice circuit simulation for analog/mixed-signal work
Synopsys announced that its Custom Design Platform has been enhanced with innovative new FineSim SPICE circuit simulation and Custom Compiler custom layout technologies to address the growing needs of accelerating robust analog/mixed-signal (AMS) designs at advanced process nodes and high-reliability applications. The new analog simulation technologies in the latest FineSim SPICE release provide 3X faster […]
DDR5 and LPDDR5 design IP said to be most power-efficient yet
Synopsys has announced new DesignWare Memory Interface IP solutions supporting the next-generation DDR5 and LPDDR5 SDRAMs. The DDR5 and LPDDR5 IP significantly increase memory interface bandwidth compared to DDR4 and LPDDR4 SDRAM interfaces, while reducing area and improving power efficiency. The DesignWare DDR5 IP, operating at up to 4800 Mbps data rates, can interface with multiple DIMMs […]
Software helps explore processing and memory architecture options for AI SoCs
Synopsys, Inc. announced the availability of its next-generation architecture exploration, analysis, and design solution, Platform Architect Ultra, to address the system challenges of artificial intelligence (AI)-enabled system-on-chips (SoCs). Architects of neural network enabled SoCs need to carefully balance the required convolutional neural network (CNN) throughput against the available power and performance budget that data center […]
Cloud-based IC design environment includes TSMC design infrastructure, process technology
Synopsys, Inc. announced it has collaborated with TSMC and leading cloud providers Amazon Web Services (AWS) and Microsoft Azure, to provide a streamlined cloud-based IC design environment on the Synopsys Cloud Solution. The Synopsys Cloud Solution provides optimized, secure infrastructure and services to enable IC design and verification teams to take full advantage of the […]
SoC design software runs analysis of peak power use
Synopsys, Inc. today introduced PrimePower, an expanded power analysis solution created to accelerate system-on-chip (SoC) design closure by extending signoff power analysis to drive early design implementation and accurate reliability analysis. Revolutionary technologies based on Synopsys’ golden PrimeTime static timing analysis and signoff empower designers to perform faster and uniquely accurate power analysis and power-driven optimizations […]